From Wikipedia, the free encyclopedia
(Redirected from Ultratech, Inc.)
Ultratech
IndustryTechnology
Founded1979
FounderLeo de Bos
Headquarters
Santa Clara, California
,
United States

Ultratech, Inc. is an international technology company based in San Jose, California, that supplies equipment to global semiconductor fabrication plants, and also makes tools for nanotechnology applications by optical networking, data storage and automotive and display industries. Since May 2017 it has been owned by Veeco.

History

1980s

Ultratech Stepper, Inc., was founded in 1979 by Leo de Bos, located in Santa Clara, California. The product line consisted of 1x microlithography steppers, using a unique catadioptric lens design. Until 1992, Ultratech Stepper, Inc., was a subsidiary of General Signal. Previous presidents included Leo de Bos and George Rutland. In 1986, Drytek was sold to General Signal Technology Corp. Zafiropoulo remained on as President and CEO of the Drytek subsidiary with General Signal. [1]

1990s

In September 1992, Zafiropoulo reformed Ultratech Stepper, as a separate company, to acquire certain assets and liabilities of the Ultratech Stepper Division of General Signal. Zafiropoulo continued to serve as President of the division until its independence in March 1993. [1]

In March 1993 the new company became independent, and Zafiropoulo became the President, Chief Executive Officer and Chairman of the Board of the newly independent Ultratech Stepper. Throughout the 1990s, the company retained that name, reflecting its original core business. [1]

The company went public on the Nasdaq stock exchange, with the ticker symbol UTEK.

In 1998, Ultratech Stepper, Inc. acquired the assets of Integrated Solutions, Inc. ("ISI"), a privately held manufacturer of i-line and deep ultraviolet (UV) reduction lithography systems based in Tewksbury (Massachusetts) and Austin (Texas), and thus the XLS reduction lithography platform of that company (in fact a legacy of the GCA company of which ISI was the successor). [1]

2000s

On February 29, 2000 Ultratech Stepper filed federal patent infringement suits against Nikon, Canon and ASML in the U.S. District Court for the Eastern District of Virginia. Nikon settled in April 2000, and Canon settled in September 2001. But on October 12, 2001 ASML's wholly owned subsidiary Silicon Valley Group, Inc. brought counter suit against Ultratech through their division SVG Lithography Systems, in the U.S. District Court for the District of Massachusetts. In March 2004 the SVGL counter suit was dismissed. Meanwhile, in the original suit, the Virginia Court ruled in a preliminary determination against Ultratrech and in favor of ASML. Ultratech appealed to the Court of Appeals for the Federal Circuit in Washington, D.C., which then reversed the prior Virginia determination and remanded the case back down to the U.S. District Court for the Northern District of California. [1]

For the year 2000 sales came in under US$150 million, including a nearly US$16 million non-operating gain that year from the sale of some land. [1] [2] The more than US$4 million impairment related to Ultratech's XLS reduction product platform which had been acquired in 1998. The restructuring included elimination of 20% of the workforce in September. [1]

Suffering from the three-year technology bear market and the 2001 recession, for the year 2002 Ultratech sales revenue dropped nearly by half, to under US$69 million, with more than half that amount showing as yet another major operating loss. Three more charges hit the company that year, from inventory write-downs, discontinued products and more restructuring, totalling nearly US$11 million of the loss. The restructuring included an additional reduction in workforce by 15% in September. [1]

In 2003 sales rebounded to over US$100 million, and the company posted a profit, benefitted by inventory and product sales which had been previously written down, thus gaining over US$1.6 million of the profit for 2003. Intel Corp. accounted for 26% of Ultratech's sales. Sales to nanotechnology manufacturers reached a quarter of total company sales in 2003. With the financial recovery, Ultratech's always-volatile stock price soared from under US$10 per share in the first quarter, to the mid 30s by year end. [1]

In 2004, Ultratech formally entered the rapid thermal processing market when it shipped its Laser Processing (LP) system. [1]

Since March 2017 Ultratech has been a fully owned subsidiary of Veeco with a total revenue of $210M. [3]

Structure

Since at least 2004 Ultratech operates in two leased facilities, through 2011, totalling under 200,000 square feet (19,000 m2) in San Jose. Operations and Corporate Headquarters are at 3050 Zanker Road, with another facility at 2880 Junction Ave. Since March 1993, its Chairman and CEO has been Arthur W. Zafiropoulo. From 2004 to 2006, the President and COO was John E. Denzel. [1] Arthur W. Zafiropoulo is currently the Chairman, Chief Executive Officer and President.

Within the United States, as of 2004, sales and support offices are also leased in Woburn, Massachusetts and Dallas, Texas.

Subsidiaries of Ultratech, Inc. within the United States as of 2004 are:

  • Ultratech International, Inc. (Delaware)
  • Ultratech Stepper East, Inc. (Delaware)
  • Ultratech Corporation (Nevada)

International subsidiaries of Ultratech, Inc. as of 2004 are:

  • Ultratech CH (Korea)
  • Ultratech UK Limited (United Kingdom)
  • Ultratech Kabushiki Kaisha (Japan)
  • Ultratech Stepper (Thailand) Co. Ltd. (Thailand)
  • Ultratech (Shanghai) Co. Ltd. (People's Republic of China)
  • Ultratech (Singapore) Pte, Ltd. (Singapore)

Outside the United States, Ultratech also maintains offices in France, Taiwan and the Philippines.

Technologies

  • Photolithography step-and-repeat 1X steppers utilizing the Wynne Dyson optical design: [1]
    • 1000 Family (for scanner replacement, high volume/low cost semi fab, R&D packaging)
    • Saturn Wafer Stepper Family (for mix-and-match advanced semiconductor fabrication)
    • Saturn Spectrum Wafer Family (for flip-chip bump processing)
    • Titan Wafer Stepper (for scanner replacement, high volume/low cost semi fab)
  • nanotechnology tools used to produce LED optical devices, MEMs, automobile air bag accelerometers, industrial control system membrane pressure sensors, thin-film head and ink-jet printer heads. [1]
    • 2002, NanoTech Family (100, 160, 190, 200, 200i)
  • By 2004, Ultratech led the advanced packaging (AP) technology market with 85% market share, in use by 9% of semiconductor chips. AP improves performance through more direct and durable connections, in shrinking consumer devices. The "silicon-on-silicon" trend in AP places chips directly on thin silicon layers, rather than on component boards. [1]
  • 2004, Laser Processing (LP) system, a rapid thermal processing tool which overcame a potential technology gap in Moore's Law, by allowing semiconductor manufacturers to advance beyond the 65-nm node. [1]
  • mid-2004 Unity Platform first introduced on AP bump tools: [1]
    • lithography systems (AP200, AP300 for advanced packaging)
    • annealing systems (LSA100 for Laser Processing laser spike annealing)

References

  1. ^ a b c d e f g h i j k l m n o p 2004 Annual Report
  2. ^ SEC Staff Accounting Bulletin: No. 101 – Revenue Recognition in Financial Statements
  3. ^ "Annual Report 2017" (PDF). www.veeco.com. Retrieved 2018-05-16.

External links